リケラボ論文検索は、全国の大学リポジトリにある学位論文・教授論文を一括検索できる論文検索サービスです。

リケラボ 全国の大学リポジトリにある学位論文・教授論文を一括検索するならリケラボ論文検索大学・研究所にある論文を検索できる

リケラボ 全国の大学リポジトリにある学位論文・教授論文を一括検索するならリケラボ論文検索大学・研究所にある論文を検索できる

大学・研究所にある論文を検索できる 「高精度微細パターン設計技術の研究」の論文概要。リケラボ論文検索は、全国の大学リポジトリにある学位論文・教授論文を一括検索できる論文検索サービスです。

コピーが完了しました

URLをコピーしました

論文の公開元へ論文の公開元へ
書き出し

高精度微細パターン設計技術の研究

三坂 章夫 大阪府立大学 DOI:info:doi/10.24729/00017119

2020.10.30

概要

微細化を加速するためのマスクパターンの作成技術は OPC あるいは計算機リソグラフ ィ等とリソグラフィ技術分野のように呼ばれるが、実際はリソグラフィ以外の内容も含ん だ技術分野である。すなわち加工形状を予測するためのシミュレーション技術と、目的のパ ターンを正確に形成するためのマスクパターンデーターを設計、生成するための技術を複 合した分野である。本論文では加工パターンを予測するシミュレーション技術を含めて、シ ミュレーションベースの手法でマスクパターン設計を行うための技術を「高精度微細パタ ーン設計技術」呼ぶ。本論文では微細化における問題解決のための狭い定義だけに囚われず、この技術を新たなパターン形成手法を実現するためのパターン設計技術として議論する。 最終的には微細化のみでなく、将来のデバイスで有用となる可能性のある3次元像[1.24- 1.26]を2次元マスクパターンで実現するマスクパターン設計方法まで議論する。高精度微 細パターン設計技術の研究の中で取り組んできた内容を図 1-6 の構成でまとめる。

2章と3章は高精度なパターン寸法補正を実現するために行った取り組みに関わる研究内容である。4章と 5 章は光リソグラフィ対するパターン設計技術によって半導体デバイスの微細化を達成するために行った取り組みに関わる研究内容である。6 章は半導体デバイスに限定されず、将来の3次元構造のマイクロデバイスへの展開を目指した研究である。以下、各章の内容について簡単に説明する。

第2章では電子線リソフラフィにおける近接効果補正について述べる。ウエハー上に電子線によってパターンを直接形成する直描電子線リソグラフィにおいては、光リソグラフィにおけるOPC が一般的になるよりも10年以上早く、露光パターンの歪みをマスクデーターで補正する近接効果補正技術の取り組みが開始された。そのため、OPC を実現するために用いられている基本的手法の多くは電子線リソグフラフィのための近接効果補正技術の開発で確立された。なぜなら、パターン寸法を予測するシミュレーションモデルが異なることを除けば、パターン処理等のデーターハンドリングの手法は殆ど同じ技術をベースにしているからである。現在では直描電子線リソグラフィはウエハー上にパターン形成を行うための主力技術ではないが、本研究により、実際の LSI チップのマスクデーターの作成を実現出来る近接効果補正システムの開発に成功し、現在の高精度微細パターン設計技術のベースとなる技術を完成したので、その内容について議論する。

第3章ではドライエッチングのための形状シシミュレーション技術の開発について述べる。電子線リソグラフィも光リソグラフィも近接効果補正のコンセプトが提言された時点 で既にパターン寸法を予測するための信頼できるシミュレーションモデルが存在しており、近接効果補正を開始するにあたっては新たなシミュレーション技術を開発する必要性は高 くはなかった。しかしながら、エッチングにおいてはエッチングシミュレーションと呼ばれ るものでさえ、基板に到達するプラズマ粒子の量のみで形状予測を行っていた。そのため、 シミュレーションモデルと実際のエチング反応と差異が大きく、エッチングシフトのパタ ーン依存性を正確に再現することは困難であった。そこで、より実際のエッチング反応に近 いモデリングを実現するために、基板表面でのエッチング反応に基板表面の吸着層を考慮 することが可能となる形状シミュレーションのコンセプトを創出した。これにより、基板に 到達するプラズマ粒子の量のみで説明が困難であったマイクロローディング効果のような エッチング形状のパターン寸法依存性を形状シミュレーションで再現することに成功した。それにより、マイクロローディング効果の発生メカニズムの理解も容易となった。これはエ ッチング現象も考慮したマスクパターン設計を行っていく上で有用なツールとなることを 示す。

第4章では光リソグラフィにおける RET と OPC の微細化効果について議論する。光リソグラフィにおいて当初は RET も OPC もその効果に対して懐疑的な考えが強く、LSI の製造への導入には消極的であった。なぜなら、RET は特定のパターンにおいて解像度の強調効果はあるが、解像性が劣化するパターンも存在するなどの副作用も大きかったからである。OPC も膨大な計算コストがかかるにも関わらず、得られる効果が非常に低いと考えられていた。そこでリソグラフィ用の応答曲面関数を導入し、リソグラフィで形成されるパターン寸法(CD: Critical dimension)のばらつきを統計的に分析する手法を創出した。その手法を用いて、RET も OPC も単独での導入には効果が低いが、RET と OPC を同時に導入し、かつ、露光パラメーターも同時に最適化することで相乗的に非常に高い効果を得られることを示した。ここでは、CD ばらつきの観点から上記の同時最適化を行うことによってシステム LSI の1世代分のパターン寸法の縮小(70%の寸法縮小)が可能であることを示し、計算機リソグラフィの微細化への有効性を提示する。

第5章では、光リソグラフィ用の強い解像度強調効果有す新規 RET 技術の創出について議論する。これはマスクエンハンサーと呼ぶ技術であり、小さな位相シフターを付加することで、個々のパターンのコントラストを個別に最大化するアイディアから創出されたものである。これは既存のRET以上の強い解像度強調効果を有し、任意の形状のレイアウトに対して1回露光で適用可能である。さらにこの技術はマスクパターン設計によって、従来の OPC等のようにパターン寸法の制御のみでなく、シミュレーションをベースとして光強度分布のコントラスト強調や焦点深度等のプロセスウィンドウの拡大をも実現できる。すなわち、光リソグラフィの解像力をマスクパターン設計技術でさらに大きく向上させることが可能となる新規技術の創出に成功したことを示す。

第6章では従来の光リソグラフィにおける2次元の平面マスクから2次元の平面パターンを形成するという概念を超えて、平面マスクパターンから3次元像の形成を行うための技術を議論する。現在、光リソグラフィで主力として使用されている投影露光方式では、1組のマスクとレンズを組み合わせた露光システムで露光像を形成する。2次元のマスクパターンはレンズを通して2次元平面に投影されるため、マスクパターンでは2次元の平面方向の形状の制御は可能であるが、3次元形状の像の形成を制御することはできない。そこでレンズの効果をマスクパターンで実現する Built-in Lens Mask (BILM)の創出を行った。これにより、現実にレンズを用いなくてもレンズとマスクの組み合わせで実現される露光像をマスクの露光のみで実現することが可能となった。さらに、この方法を、複数組のマスクとレンズを組み合わせた仮想的な露光システムのコンセプトを組み合わせることで、1枚のマスクに対して1回露光を行うだけで鮮明な3次元像の形成を行える技術の創出に成功した。この章では、目的の3次元像に対して仮想露光システムを設計し、シミュレーションンベースの計算に基づいてマスクパターン作成を行う方法について議論する。

第7章では本研究の総括を行うとともに、今後の微細加工における高精度微細パターン設計技術の展望と課題について述べるとともに、本研究の波及効果についても論じた。

この論文で使われている画像

参考文献

第1章参考文献

[1.1] 平 洋一, 将来のコンピューティング, エレクトロニクス実装学会誌, Vol. 15, No. 6, pp. 430-434(2012).

[1.2] 岩井 洋, 大見 俊一郎, 21 世紀の半導体デバイスとリソグラフィ技術, 電気学会誌, Vol. 120, No. 6, pp. 340-343(2000).

[1.3] 松井真二, 落合幸徳, 山下浩:電子ビーム露光技術の現状と展望, 応用物理, Vol. 70, No. 4, pp. 411-417(2001).

[1.4] 奥平 定之, ドライエッチング技術, 日本金属学会会報, Vol. 28, No. 1, pp. 55-62(1989).

[1.5] R. P. Seisyan, "Nanolithography in microelectronics: A review", Technical Physics, Vol. 56, No. 1061, pp. 1061-201(2011).

[1.6] T. Ito, S. Okazaki, "Pushing the limits of lithography.", Nature 406, pp. 1027–1031 (2000).

[1.7] T. A. Brunner, "Pushing the limits of lithography for IC production", Proc. International Electron Devices Meeting. IEDM Technical Digest, pp. 9-13(1997).

[1.8] L. Rayleigh, “Investigations in optics with special reference to the spectroscope,” Phil. Mag. Vol. 8, No. 49, pp. 261-274 (1879).

[1.9] S. Owa and H. Nagasaka, "Advantage and feasibility of immersion lithography," Journal of Micro/Nanolithography, Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol. 3, No. 1, pp. 97-103 (2004).

[1.10] J. E. Bjorkholm, "EUV lithography—the successor to optical lithography", Intel Technology Journal, Q3, 1 pp. 1-8(1998).

[1.11] M. Shibuya, “Resolution enhancement techniques for optical lithography and optical imaging theory,” Optical Review, Vol. 4, No. 1B, pp. 151-160(1997).

[1.12] M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, "Improving “Improving resolution in photolithography with a phase-shifting mask” IEEE Transactions on Electron Devices, Vol. 29, No. 12, p. 1828-1836(1982).

[1.13] B. Lin, "The attenuated phase-shifting mask", Solid State Technology, Vol. 35, No. 1, pp. 43-47(1992).

[1.14] J. Finders, J. V. Schoot, M. Mulder, A. Hunter, M. Dusa, B. Socha, and P. Jenkins, “ DUV lithography (KrF) for 130 nm using off-axis illumination and assisting features,” in SEMICON Japan (1999).

[1.15] T. Terasawa, "Subwavelength lithography (PSMOPC)", Proceedings 2000 Design Automation Conference, pp. 295-300(2000).

[1.16] F. M. Schellenberg "Resolution enhancement technology: the past, the present, and extensions for the future", Proc. SPIE, Optical Microlithography XVII, Vol. 5377, pp. 1- 20(2004).

[1.17] L. Capodieci "From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade", Proc. SPIE, Optical Microlithography XIX, Vol. 6154, pp. 1-12(2006).

[1.18] L. W. Liebmann, S. M. Mansfield, A. K. Wong, M. A. Lavin, W. C. Leipold, and T. G. Dunham “TCAD development for lithography resolution enhancement,” IBM Journal of Research and Development, Vol. 45, pp. 651-665(2001).

[1.19] P. Chien and M. Chen "Proximity Effects in Submicron Optical Lithography", Proc. SPIE, Optical Microlithography VI, Vol. 0772, pp. 35-41(1987).

[1.20] T. H. P. Chang, "Proximity effect in electron‐beam lithography", Journal of Vacuum Science & Technology, Vol. 12, No. 6, pp. 1271–1275(1975).

[1.21] M. Parikh, "Corrections to proximity effects in electron beam lithography. I. Theory", Journal of Applied Physics, Vol. 50, No. 6, pp. 4371-4377(1979).

[1.22] X. Ma and G. Arce, “Computational Lithography” (Wiley, 2011).

[1.23] C. Hedlund and H. O. Blom, "Microloading effect in reactive ion etching", S. Berg” Journal of Vacuum Science & Technology A, Vol. 12, No. 4, pp. 1962-1965(1992).

[1.24] A. Campo and C. Greiner, "SU-8: A photoresist for high-aspect-ratio and 3D photolithography", Journal of Micromechanics and Microengineering, Vol. 17, No. 6, pp. 81- 95(2007).

[1.25] H. B. Sun and S. Kawata, “Two-Photon Photopolymerization and 3D Lithographic Microfabrication", Advances in Polymer Science. Vol. 170, pp. 169-273(2004).

[1.26] A. Bertsch, H. Lorenz, and P. Renaud, "3D microfabrication by combining microstereolithography and thick resist UV lithography", Sensors and Actuators A: Physical Vol. 73, No1-2, pp. 14-23(1999).

第2章参考文献

[2.1] R. W. Nosker, "Scattering of highly focused kilovolt electron beams by solids", Journal of Applied Physics, Vol. 40, No. 4, pp. 1872-1882(1969).

[2.2] J. B. Kruger, P. Rissman, and M. S. Chang, "Silicon transfer layer for multilayer resist systems", Journal of Vacuum Science & Technology, Vol. 19, No. 4, pp. 1320-1324(1981).

[2.3] G. Owen, and P. Rissman, "Proximity effect correction for electron beam lithography by equalization of background dose", Journal of Applied Physics, Vol. 54, No. 6, pp. 3573- 3581(1983).

[2.4] R. L. Kostelak, E. H. Kung, M. G. R. Thomson, and S. Vaidya, "GHOST proximity correction technique: Its parameters limitations and process latitude", Journal of Vacuum Science & Technology B, Vol. B6, No. 1, pp. 448-455(1988).

[2.5] N. D. Wittels, and C. Youngman, "Proximity effect correction in electron-beam lithography", Proc. Symp. 8th Int. Conf. on Electron and Ion Beam Science and Technology, pp. 361-370(1978).

[2.6] M. Parikh, "Corrections to proximity effects in electron beam lithography. I. Theory", Journal of Applied Physics, Vol. 50, No6. pp. 4371-4377 (1979).

[2.7] M. Parikh, "Corrections to proximity effects in electron beam lithography. II. Implementation", Journal of Applied Physics, Vol. 50, No. 6, pp. 4378-4382(1979).

[2.8] M. Parikh, "Corrections to proximity effects in electron beam lithography. III. Experiments", Journal of Applied Physics, Vol. 50, No. 6, pp. 4383-4387(1979).

[2.9] E. Kratschmer, "Verification of a proximity effect correction program in electron-beam lithography", Journal of Vacuum Science & Technology, Vol. 19, No. 4, pp. 1264-1268(1981).

[2.10] H. L. Berkowitz and R. L. Lux, "Critical edge proximity effect corrections", Ρroc. Symp. 10th Int. Conf. on Electron and Ion Beam Science and Technology, pp. 260-268(1982).

[2.11] T. H. P. Chang, "Proximity effect in electron-beam lithography", Journal of Vacuum Science & Technology, Vol. 12, No. 6, pp. 1271-1275(1975).

[2.12] D. F. Kyser and N. S. Viswanathan, "Monte Carlo simulation of spatially distributed beams in electron‐beam lithography" Journal of Vacuum Science & Technology, Vol. 12, No. 6, pp. 1305-1308 (1975).

[2.13] C. H. Shaw, “Proximity parameters determination for electron beam lithography using a novel technique” Journal of Vacuum Science & Technology, Vol. 19, No. 4, pp. 1286- 1290(1981).

[2.14] N. Aizaki, "Proximity effect dependence on substrate material”, Science & Technology, Vol. 16, No. 6, pp. 1726-1733 (1979).

[2.15] L. Stevens, R. Jonckheere, E. Froyen, S. Decoutere, and D. Lanneer, "Determination of the proximity parameters in electron beam lithography using doughnut-structures", Microelectron. Eng. Vo. 5, No. 1-4, pp. 141-150(1986).

[2.16] T. Kato, Y. Watanabe, and H. Nakata, "Proximity effect correction in electron-beam lithography", Journal of Vacuum Science & Technology, Vol. 19, No. 6, pp. 1279-1285(1981).

[2.17] T. Yamada, Y. Nakata, J. Hasegawa, N. Amano, A. Shibayama, M. Sasago, N. Matsuo, T. Yabu, and S. Matsumoto, S. Okada, M. Inoue, "A 64Mb DRAM with meshed power line” Journal of Solid-State Circuits, Vol. 26, No. 11, pp. 1506-1510(1991).

[2.18] M. Inoue, T. Yamada, H. Kotani, H. Yamauchi, A. Fujiwara, J. Matsushima, H. Akamatsu, M. Fukumoto, M. Kubota, I. Nakao, N. Aoi, G. Fuse, S. Ogawa, S. Odanaka, A. Ueno, and H. Yamamoto, "A 16-Mbit DRAM with a relaxed sense-amplifier-pitch open-bit- line architecture", Journal of Solid-State Circuits, Vol. 23, No. 5, pp. 1104-1112(1988).

第3章参考文献

[3.1] J. Janes, and W. Pilz, "Effect of ion angular distributions on microloading in oxygen reactive-ion etching of submicrometer polymer trenches", Journal of Applied Physics, Vol. 74, No. 1, pp. 649-658(1993).

[3.2] N. Fujiwara, T. Shibano, K. Nishioka, and T. Kato, "Cold and low-energy ion etching (COLLIE)", Japanese Journal of Applied Physics, Vol. 28, No. 10, pp. 2147-2150(1989).

[3.3] J. C. Arnold and H. H. Sawin, "Charging of pattern features during plasma etching", Journal of Applied Physics, Vol. 70, No. 10, pp. 5314-5317(1991).

[3.4] R. J. Davis, "Image potentials and the dry etching of submicron trenches with low-energy ions", Applied Physics Letters, Vol. 59, No. 14, pp. 1717-1719(1991).

[3.5] H. Aoki, T. Hashimoto, E. Ikawa, and T. Kikkawa, " Low-temperature etching of 0.2-μm Al patterns using a SiO2 mask ", Japanese Journal of Applied Physics, Vol. 31, No. 12B, pp. 4376-4380(1992).

[3.6] M. Sato, D. Takehara, K. Uda, K. Sakiyama, and T. Hara, " Suppression of microloading effect by low-temperature SiO2 etching ", Japanese Journal of Applied Physics, Vol. 31, No. 12B, pp. 4370-4375(1992).

[3.7] A. D. Bailey Jr. and R. A. Gottscho, "Aspect ratio independent etching: Fact or fantasy?", Proc. Symp. Dry Process, pp. 49-53(1994).

[3.8] W. G. Oldham, S. N. Nandgaonkar, A. R. Neureuther, and M. M. O'Toole, "A general simulator for VLSI lithography and etching processes: Part I—Application to projection lithography", IEEE Trans. Electron Devices, Vol. 26, No. 4, pp. 717-722(1979).

[3.9] W. G. Oldham, A. R. Neureuther, C. Sung, J. L. Reynolds, and S. N. Nandgaonkar, "A general simulator for VLSI lithography and etching processes: Part II—Application to deposition and etching", IEEE Trans. Electron Devices, Vol. 27, No. 8, pp. 1455-1459(1980).

[3.10] S. Yamamoto, T. Kure, M. Ohgo, T. Matsuzawa, S. Tachi, and H. Sunami, "A two- dimensional etching profile simulator: ESPRIT", IEEE Trans. Computer-Aided Design, Vol. 6, No. 3, pp. 417-422(1987).

[3.11] S. Tazawa, S. Matsuo, and K. Saito, "Unified topography simulator for complex reaction including both deposition and etching", Symp. VLSI Technol., pp. 45-46(1989).

[3.12] J.P. McVittie, J.C. Rey, A.J. Bariya, M.M. IslamRaja, L.Y.Cheng, S. Ravi, and K.C. Saraswat, "SPEEDIE: A profile simulator for etching and deposition", Proc. SPIE, Symp. Advanced Tech. Integrated Circuit Processing, Vol. 1392, pp. 126-138(1990).

[3.13] J. I. Ulacia F, and J. P. McVittie, "A two-dimensional computer simulation for dry etching using Monte Carlo techniques", Journal of Applied Physics, Vol. 65, No. 4, pp. 1484- 1491(1989).

[3.14] J. P. McVittie, J. C. Rey, L. Y. Cheng, M. M. IslamRaja, and K. C. Saraswat, "LPCVD profile simulation using a re-emission model", IEDM Tech. Dig., pp. 917-920(1990).

[3.15] M. M. IslamRaja, M. A. Cappelli, J. P. McVittie, and K. C. Saraswat, "A 3-dimensional model for low-presssure chemical-vapor-deposition step coverage in trenches and circular vias", Journal of Applied Physics, Vol. 70, No. 11, pp. 7137-7140(1991).

[3.16] C. Y. Chang, J. P. McVittie, J. Li, K. C. Saraswat, S. E. Lassig, and J. Dong, "Profile simulation of plasma enhanced and ECR oxide deposition with sputtering", IEDM Tech. Dig., pp. 853-856(1993).

[3.17] V. K. Singh and S. G. Shaqfeh, "Simulation of profile evolution in silicon reactive ion etching with re-emission and surface diffusion", Journal of Vacuum Science & Technology B, Vol. 10, No. 3, pp. 1091-1104(1992).

[3.18] P. Sigmund, "Theory of sputtering. I. Sputtering yield of amorphous and polycrystalline targets", Physical Review, Vol. 184, No. 2, pp. 383-416(1969).

[3.19] D. L. Flamm, C. J. Mogab, and E. R. Sklaver, "Reaction of fluorine atoms with SiO2", Journal of Applied Physics, Vol. 50, No. 7, pp. 6211-6213(1979).

[3.20] J. W. Coburn and H. F. Winters, "Ion- and electron-assisted gas-surface chemistry-An important effect in plasma etching", Journal of Applied Physics, Vol. 50, No. 5, pp. 3189-3196(1979).

[3.21] Y. -Y. Tu, T. J. Chuang, and H. F. Winters, "Chemical sputtering of fluorinated silicon", Physical Review. B, Vol.23, No. 2, pp. 823-835(1981).

[3.22] D. C. Gray, I. Tepermeister, and H. H. Sawin, " Plasma-surface kinetics of Si and SiO2 etching in fluorocarbon discharge: F-CF2-Ar+ beam studies ", Proc. Int. Conf. Reactive Plasmas and 11th Symp. Plasma Processing, pp. 751-755(1994).

[3.23] H. Yabe, A. Yuuki, and Y. Matsui, " Study of surface reaction probability of CFx radicals by trench deposition method ", Japanese Journal of Applied Physics, Vol. 30, No. 11, pp. 2873- 2877(1991).

[3.24] J. W. Thoman Jr., K. Suzuki, S. H. Kable, and J. I. Steinfeld, " Laser-induced fluorescence measurement and analytical model for the reaction probability of CF2 on Si ", Journal of Applied Physics, Vol. 60, No. 8, pp. 2775-2777(1986).

[3.25] H. F. Winters, "The role of chemisorption in plasma etching", Journal of Applied Physics, Vol. 49, No. 11, pp. 5165-5170(1978).

[3.26] J. P. Booth, G. Hancock, N. D. Perry, and M. J. Toogood, "Spatially and temporally resolved laser-induced fluorescence measurements of CF2 and CF radicals in a CF4 rf plasma ", Journal of Applied Physics, Vol. 66, No. 11, pp. 5251-5257(1989).

[3.27] R. M. Robertson, D. M. Golden, and M. J. Rossi, "Reaction probability for the spontaneous etching of silicon by CF3 free radicals ", Journal of Vacuum Science & Technology B, Vol. 6, No. 6, pp. 1632-1640(1988).

[3.28] T. M. Mayer and R. A. Barker, "Simulation of plasma-assisted etching processes by ion-beam techniques", Journal of Vacuum Science & Technology, Vol. 21, No. 3, pp. 757- 763(1982).

第4章参考文献

[4.1] K. Tsudaka, M. Sugawara, H. Kawahira, Akihiro Ogura, and Satoru Nozawa "New mask optimization methodology using exposure-defocus and mask fabrication latitude", Proc. SPIE, Photomask and X-Ray Mask Technology, Vol. 2254, pp. 216-227 (1994)

[4.2] P. Yan, R. F. Hainsey, Jeff N. Farnsworth, and J. H. Neff "Sub-micron low-k1 imaging characteristics using a DUV printing tool and binary masks", Proc. SPIE, Optical/Laser Microlithography VIII, Vol. 2440, pp. 270-277(1995)

[4.3] K. H. Kim, K. Ronse, A. Yen, and L. Van den hove, “Feasibility demonstration of 0.18 μm and 0.13 μm optical projection lithography based on CD control calculations”, Proc. 1996 Symp. VLSI Technology Digest Tech. Papers, pp. 186-187(1996).

[4.4] V. K. R. Chiluvuriand and I. Koren, “Layout-Synthesis Techniques for Yield Enhancement, IEEE Trans. Semiconductor Manufacturing, Vol. 8, No. 2, pp. 178– 187 (1995).

[4.5] L. Fu-Chang, T. D. Giang, M. Berube, N. Tam, R. F. Hainsey, J. N. Farnsworth, J. DeWitt, R. LaVoy, and Susan V. Daugherty "Ever-increasing role of mask technology in deep submicron lithography", Proc. SPIE, Photomask and X-Ray Mask Technology, Vol. 2254, pp. 2-13(1994)

[4.6] M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, "Improving “Improving resolution in photolithography with a phase-shifting mask” IEEE Transactions on Electron Devices, Vol. 29, No. 12, p. 1828-1836(1982).

[4.7] K. Tounai, H. Tanabe, H. Nozue, and K. Kasama, "Resolution improvement with annular illumination", Proc. SPIE, Optical/Laser Microlithography V, Vol. 1674, pp. 753- 764(1992)

[4.8] H. Shimizu, F. Uesawa, T. Oda, and M. Sugawara, “Experimental Verification of an Aerial Image Evaluation Method and Its Application to Studies of Attenuated Phase-Shifting Masks “, Japanese Journal of Applied Physics, Part 1 Vol34, No. 12B, pp. 6598-6604(1995)

[4.9] J. Garofalo, C. J. Biddick, R. L. Kostelak, and S. Vaidya, "Mask assisted off‐axis illumination technique for random logic", Science & Technology B Vol. 11, No. 6, pp. 2651- 2658 (1993).

[4.10] J. G. Garofalo, O. W. Otto, R. A. Cirelli, R. L. Kostelak, and S. Vaidya "Automated layout of mask assist-features for realizing 0.5 k1 ASIC lithography", Proc. SPIE, Optical/Laser Microlithography VIII, Vol. 2440, pp. 302-312(1995).

[4.11] P. Chien and M. Chen "Proximity Effects in Submicron Optical Lithography", Proc. SPIE, Optical Microlithography VI, Vol. 0772, pp. 35-41(1987).

[4.12] O. W. Otto, J. G. Garofalo, K. K. Low, C. Yuan, R. C. Henderson, C. Pierrat, R. L. Kostelak, S. Vaidya, and P. K. Vasudev "Automated optical proximity correction: a rules-based approach", Proc. SPIE, Optical/Laser Microlithography VII, Vol. 2197, pp. 278-293(1994).

[4.13] R. A. Cirelli, E. L. Raab, R. L. Kostelak, and S. Vaidya "Optimizing numerical aperture and partial coherence to reduce proximity effect in deep-UV lithography", Proc. SPIE, Optical/Laser Microlithography VII, Vol. 2197, pp. 429-439(1994).

[4.14] A. Nakae, K. Kamon, T. Hanawa, K. Moriizumi, and H. Tanabe, " Precision Improvement in Optical Proximity Correction by Optimizing Second Illumination Source Shape ", Japanese Journal of Applied Physics,Pt. 1, Vol. 35, No. 12B, pp. 6395-6399(1996).

[4.15] C. Ahn, K. Baik, Y. Lee, H. Kim, I. Hur, Y. Kim, . J. Kim, and S. Choi "Study of optical proximity effects using off-axis illumination with attenuated phase shift mask", Proc. SPIE, Optical/Laser Microlithography VIII, Vol. 2440, pp. 222-239(1995).

[4.16] K. Tounai, S. Hashimoto, S. Shiraki, and K. Kasama "Optimization of modified illumination for 0.25-um resist patterning", Proc. SPIE, Optical/Laser Microlithography VII, Vol. 2197, pp. 31-41(1994).

[4.17] H. Kim, J. Kye, D. Lee, Sa. Woo4, H. Kang, and Y. Koh "Fabrication of dense contact patterns using halftone phase-shifting mask with off-axis illumination", Proc. SPIE, Photomask and X-Ray Mask Technology III, Vol. 2793, pp. 106-114(1996).

[4.18] M. Yeung, “Modeling aerial images in two and three dimensions”, Kodak Microelectronics Seminar Interface '85, pp. 115-126(1985)

[4.19] B. Lin, "The attenuated phase-shifting mask", Solid State Technology., Vol. 35, No. 1, pp. 43-47(1992).

第5章参考文献

[5.1] T. A. Brunner, "Pushing the limits of lithography for IC production", Proc. IEEE Electron Devices Meeting, pp. 9-13(1997).

[5.2] L. R. Harriot, "Limits of lithography", Proc. IEEE, Vol. 89, no. 3, pp. 366-374(2001).

[5.3] M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, "Improving “Improving resolution in photolithography with a phase-shifting mask” IEEE Transactions on Electron Devices, Vol. 29, No. 12, p. 1828-1836(1982).

[5.4] B. Lin, "The attenuated phase-shifting mask", Solid State Technology., Vol. 35, No. 1, pp. 43-47(1992).

[5.5] S. T. Yang, R. L. Hsieh, Y. Lee, R. Fabian W. Pease, and G. Owen "Effect of central obscuration on image formation in projection lithography", Proc. SPIE, Optical/Laser Microlithography III, Vol. 1264, pp. 477-485(1990).

[5.6] N. Shiraishi, S. Hirukawa, Y. Takeuchi, and N. Magome "New imaging technique for 64M-DRAM", Proc. SPIE, Optical/Laser Microlithography V, Vol. 1674, pp. 741-752(1992).

[5.7] T. Terasawa, "Subwavelength lithography (PSMOPC)", Design Automation Conf. 2000, pp. 295-300(2000).

[5.8] M. Shibuya, “Resolution enhancement techniques for optical lithography and optical imaging theory,” Optical Review, Vol. 4, No. 1B, pp. 151-160(1997).

[5.9] K. Kim, M. E. Mason, J. N. Randall, and W. D. Kim "Process capability analysis of DUV alternating PSM and DUV attenuated PSM lithography for 100-nm gate fabrication", Proc. SPIE, Optical Microlithography XIII, Vol. 4000, pp. 132-148(2000).

[5.10] T. S. Gau, R. Liu, C. Chen, C. M. Lai, F. Liang, and C. C. Hsia "Customized illumination aperture filter for low k1 photolithography process", Proc. SPIE, Optical Microlithography XIII, Vol. 4000, pp. 271-282(2000).

[5.11] M. D. Prouty and A. R. Neureuther "Optical Imaging With Phase Shift Masks", Proc. SPIE, Optical Microlithography III: Technology for the Next Decade, Vol. 0470, pp. 228-323(1984).

[5.12] H. Kim, J. Kye, D. Y. Lee, S. Woo, H. Kang, and Y. Koh "Fabrication of dense contact patterns using halftone phase-shifting mask with off-axis illumination", Proc. SPIE, Photomask and X-Ray Mask Technology III, Vol. 2793, pp. 106-114(1996).

[5.13] M. L. Rieger, J. P. Mayhew and S. Panchapakesan, "Layout design methodologies for sub-wavelength manufacturing," Proc. Design Automation Conference, pp. 86-92(2001).

[5.14] D. Levenson, S. Petersen, D. J. Gerold, and C. A. Mack "Phase phirst! An improved strong-PSM paradigm", Proc. SPIE, 20th Annual BACUS Symposium on Photomask Technology, Vol. 4186, pp. 395-404(2001).

[5.15] K. Matsuoka and A. Misaka "Application of alternating phase-shifting mask to 0. 16 μm CMOS logic gate patterns", Proc. SPIE, Optical Microlithography X, Vol. 3051, pp. 342- 351(1997).

[5.16] H. Liu, L. Karklin, Y. Wang, and Y. C. Pati "Application of alternating phase-shifting masks to 140-nm gate patterning: linewidth control improvements and design optimization", Proc.SPIE, 17th Annual BACUS Symposium on Photomask Technology and Management, Vol. 3236, pp. 328-337(1997).

[5.17] A. K. Wong, R. Ferguson and S. Mansfield, "Mask Error Factor in Optical Lithography", IEEE Trans. on Semiconductor Manuf., Vol. 13, No. 2, pp. 235-242(2000)

[5.18] A. Yen, S. S. Yu, J. H. Chen, C. K. Chen, T. S. Gau, and B. J. Lin, " Low-k1 optical lithography for 100 nm logic technology and beyond ", Journal of Vacuum Science & Technology B, Vol. 19, No. 6, pp. 2329-2334(2001).

[5.19] C. A. Mack, D. A. Legband and S. Jug, “Data Analysis for Photolithography”, Microelec-tronic Engineering, Vol. 46, No. 1-4, pp. 65-68(1999).

[5.20] N. Yoshioka, J. Miyazaki. H. Kusunose, K. Hosono, M. Nakajima, H. Morimoto, Y. Watakabe, and K. Tsukamoto, "Practical Attenuated phase-shifting mask with a single layer absorptive shifter of MoSiO and MoSiON for ULSI fabrication," Proceedings of IEEE International Electron Devices Meeting, pp. 653-656(1993).

第6章参考文献

[6.1] K. Wong, Optical Imaging in Projection Microlithography (SPIE Press, 2005).

[6.2] M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, "Improving “Improving resolution in photolithography with a phase-shifting mask” IEEE Transactions on Electron Devices, Vol. 29, No. 12, p. 1828-1836(1982).

[6.3] C. A. Mack, Field Guide to Optical Lithography, SPIE Press, Bellingham, WA (2006).

[6.4] A. Misaka, T. Matsuo, and M. Sasago, "Super-resolution enhancement method with phase-shifting mask available for random patterns", 2002 Symposium on VLSI Technology. Digest of Technical Papers, pp. 200-201(2002).

[6.5] B. E. A. Saleh and S. I. Sayegh "Reduction of Errors of Microphotographic Reproductions by Optimal Corrections of Original Masks." Optical Engineering Vol. 20, No. 5, pp. 781-784 (1981).

[6.6] X. Ma and G. R. Arce, Computational Lithography (John Wiley & Sons, 2010 ).

[6.7] S. Mitra and S. Chakraborty, Microfluidics and nanofluidics handbook: fabrication, implementation, and applications (CRC Press, 2011).

[6.8] A. Campo and C. Greiner, "SU-8: A photoresist for high-aspect-ratio and 3D photolithography", Journal of Micromechanics and Microengineering, Vol. 17, No. 6, pp. 81- 95(2007).

[6.9] R. D. Farahani, M. Dubé, and D. Therriault, Daniel, “Three-dimensional printing of multifunctional nanocomposites: Manufacturing Techniques and Applications” Advanced Materials, Vol. 28, No. 28, pp. 5794–5821(2016).

[6.10] W. Xiong, Y. Zhou, W. Hou, L. Jiang, M. Mahjouri-Samani, J. Park, X. He, Y. Gao, L. Fan, T. Baldacchini, J. Silvain, and Y. Lu, “Laser-based micro/nanofabrication in one, two and three dimensions” Frontiers of Optoelectronics, Vol. 8, No. 4, pp. 351–378(2015).

[6.11] R. Kankala, K. Zhu, J. Li, C. Wang, S. Wang, and A. Chen, “Fabrication of arbitrary 3D components in cardiac surgery: from macro-, micro- to nanoscale”, Biofabrication Vol. 9, No. 3, pp. 032002 (2017).

[6.12] A. Do, B. Khorsand, S. Geary, and A. Salem, “3D printing of scaffolds for tissue regeneration applications “, Adv. Healthcare Mater. Vol. 4, No. 12, pp. 1742-1762 (2015).

[6.13] M. Mao, J. He, X. Li, B. Zhang, Q. Lei, Y. Liu, and D. Li “The emerging frontiers and applications of high-resolution 3D printing”, Micromachines, Vol. 8, No. 4, pp.113(2017).

[6.14] F. Sima, J. Xu, D. Wu, and K. Sugioka, “Ultrafast Laser Fabrication of Functional Biochips: New Avenues for Exploring 3D Micro- and Nano-Environments“, Micromachines Vol. 8, No. 2, 40, pp. 1-18 (2017).

[6.15] S. Matsui, T. Kaito, J. Fujita, M. Komuro, K. Kanda, and Y. Haruyama, “Three- dimensional nanostructure fabrication by focused-ion-beam chemical vapor deposition“, Journal of Vacuum Science & Technology B, Vol. 18, No. 6, pp. 3181-3184(2000).

[6.16] S. Kawata, H. B. Sun, T. Tanaka, and K. Takada, “Finer features for functional microdevices” Nature 412, pp. 697–698 (2001).

[6.17] M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, H. B. Sun, S. Kawata, and E. D. Fabrizio, "3D patterning by means of nanoimprinting X-ray and two-photon lithography", Microelectron. Eng., Vol. 73/74, No. 1, pp. 535-541(2004).

[6.18] H. Sato, T. Kakinuma, J. S. Go, and S. Shoji, “In-channel 3-D micromesh structures using maskless multi-angle exposures and their microfilter application”, Sensors and Actuators A: Physical, Vol. 111, No. 1, pp. 87-92(2004).

[6.19] A. Misaka, Japan Patent P2014-557183 (2014). (特許第 6175652 号: フォトマスク、並びにフォトマスクを用いたパターン形成方法)

[6. 20] A. Misaka, Japan Patent P2015-501085 (2015). (特許第 6118996 号: フォトマスク、並びにフォトマスクを用いたパターン形成方法及び加工方法)

[6.21] M. Born and E. Wolf, Principles of Optics (Cambridge university press, 1999)

[6.22] K. H. Toh and A. R. Neureuther "Identifying and Monitoring Effects of Lens Aberrations In Projection Printing", Proc. SPIE, Optical Microlithography VI, Vol. 0772, pp. 202-209(1987).

[6.23] M. Yeung, “Modeling aerial images in two and three dimensions”, Kodak Microelectronics Seminar Interface '85, pp. 115-126(1985).

第7章参考文献

[7.1] D. O. S. Melville, A. E. Rosenbluth, A. Waechter, M. Millstone, J. Tirapu-Azpiroz, and K. Tian, "Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems", Journal of Vacuum Science & Technology B, Vol. 29, No. 6, pp. 06FH04(2011).

[7.2] J. F. Chen, H. Liu, T. Laidig, C. Zuniga, Y. Cao, and Robert Socha "Development of a computational lithography roadmap", Proc. SPIE, Optical Microlithography XXI, Vol. 6924, pp473-484(2008).

[7.3] H. J. Levinson and T. A. Brunner "Current challenges and opportunities for EUV lithography", Proc. SPIE, International Conference on Extreme Ultraviolet Lithography 2018, Vol. 10809, pp. 5-11(2018).

[7.4] D. Tsunoda, M. Shoji, and H. Tsunoe, “Proximity effect correction concerning forward scattering”, Proc. SPIE, Photomask Technology, Vol. 7823, pp. 871-877(2010).

[7.5] 斧高一,江利口浩二, “ドライエッチングのモデルとその実験検証”, Journal of Plasma and Fusion Research Vol. 85, No. 4, pp. 165-176(2009).

[7.6] L. Capodieci, “Resolution Enhancement Techniques, Optical Proximity Correction, Design For Manufacturing, Design Rule Manual, Design Regularity, Layout Printability Verification, Pattern-Matching, Yield Optimization”, Proc. SPIE, Optical Microlithography XIX, Vol. 6154, pp. 1-12(2006).

[7.7] T. Matsuda, S. Irie, T. Shimizu, T. Yuito, Y. Tabata, Y. Nonami, A. Misaka, T. Koizumi, and M. Sasago "Mask enhancer technology with source mask optimization (SMO) for 2Xnm- node logic layout gate fabrication", Proc. SPIE, Optical Microlithography XXIV, Vol. 7973, pp. 407-416(2011).

[7.8] J. M. Finders, M. V. Dusa, B. Vleeming, B. Hepp, M. Maenhoudt, S. Cheng, and T. Vandeweyer, “Double patterning lithography for 32 nm: critical dimensions uniformity and overlay control considerations”, Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol. 8, No. 1, pp. 1-11(2009).

参考文献をもっと見る

全国の大学の
卒論・修論・学位論文

一発検索!

この論文の関連論文を見る